CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - pseudo random

搜索资源列表

  1. mt19937int.c

    0下载:
  2. 伪随机数生成算法,很优秀,32位int-pseudo-random number generation algorithms, excellent, 32 int
  3. 所属分类:数值算法/人工智能

    • 发布日期:2008-10-13
    • 文件大小:2243
    • 提供者:张清之
  1. mt19937-1.c

    0下载:
  2. 伪随机数生成算法,很优秀,32位real-pseudo-random number generation algorithms, excellent, 32 real
  3. 所属分类:数值算法/人工智能

    • 发布日期:2008-10-13
    • 文件大小:2329
    • 提供者:张清之
  1. mt19937-2.c

    0下载:
  2. 伪随机数生成算法,很优秀,double 0-1-pseudo-random number generator algorithm, very good, double 0-1
  3. 所属分类:数值算法/人工智能

    • 发布日期:2008-10-13
    • 文件大小:2336
    • 提供者:张清之
  1. random_nor_eve

    1下载:
  2. 用VC++6实现的一个伪随机数生成演示程序源码,包含了正态分布随机数生成和平均分布随机数两种生成方式。-VC 6 achieved a pseudo-random number generator demonstration program source code, including the normal random number generation and distribution of random numbers generated both ways.
  3. 所属分类:界面编程

    • 发布日期:2008-10-13
    • 文件大小:23441
    • 提供者:黄亮
  1. 伪随机数

    0下载:
  2. 几种常见的伪随机数的产生程序,上载程序是从别处下载的,觉得还比较有用-several common pseudo-random number generated procedures, procedures are available on the download elsewhere, I felt that more useful
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:3516
    • 提供者:tsibintsibin
  1. 一些VHDL源代码

    0下载:
  2. 内有波形发生器,加法器,经典双进程状态机,伪随机熟产生器,相应加法器的测试向量,16×8bit RAM,FIFO,通用RAM等源程序-within waveform generator, Adder, classic dual-process state machine, cooked pseudo-random generator, the corresponding Adder test vector, 16 x 8bit RAM, FIFO, etc. source generic RAM
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:45110
    • 提供者:蔡孟颖
  1. waterprint

    0下载:
  2. 数字水印生成算法,包括伪随机法,扩频法等-generation digital watermarking algorithms, including pseudo-random, spread spectrum law
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:3687
    • 提供者:胡子
  1. 八位的伪随机数产生的verilog文件

    0下载:
  2. 八位的伪随机数产生的verilog文件linear-feedback-shift-register-eight pseudo-random number generator in Verilog document linear-feedback - shift-register
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1837
    • 提供者:陈正一
  1. 2t1程序

    0下载:
  2. 二进一出的伪随机数生成程序,即将两个不随机的数据流作为输入,生成出一条随机特性较好的数据流-two into a pseudo-random number generator procedures, not the upcoming two random data stream as input, generating a better characteristics of the random data stream
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:761691
    • 提供者:pys
  1. QPSK_TX

    0下载:
  2. 采用伪随机码对OPSK发射机进行性能仿真-pseudo-random code of conduct OPSKmodulating and demodulating Transmitter Performance Simulation
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:2219
    • 提供者:王蓬
  1. mls

    0下载:
  2. 产生伪随机噪声的MATLAB程序,在通信系统仿真可以用到-produce pseudo-random noise MATLAB procedures, the communications system simulation can be used
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:1680
    • 提供者:郑研
  1. Mcoder

    0下载:
  2. 用于产生M码的C++小程序,通过查表输入本原多项式系数可以求出伪随机码.-M code used to generate the C minor procedures, imported through Lookup primitive polynomial coefficients can be calculated pseudo-random code.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1445
    • 提供者:123
  1. greatQPSK

    1下载:
  2. QPSK的Matlab实现 使用伪随机序列,低通滤波,可看图-QPSK Matlab using the pseudo-random sequence, low-pass filtering, flashcards
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:4750
    • 提供者:李继泉
  1. Random-nunmber

    0下载:
  2. 程序生成的随机数,真正的名字叫做“伪随机数”,需要有一个种子来初始化 使用当前时间去初始化种子,就能得到不同的伪随机数序列-Program generates random numbers, the real name is " pseudo-random number," the need for a seed to initialize the current time to initialize the seed, you can get different pseudo
  3. 所属分类:matlab

    • 发布日期:2017-04-14
    • 文件大小:5381
    • 提供者:yang xiaoxiao
  1. pseudo-sequence

    0下载:
  2. 通信中常用伪随机序列matlab仿真程序,通过在MATLAB环境下对常用的几种伪随机序列的仿真及性能分析,进而运用组合序列的思想,尝试不同的序列以不同组合方式生成的新的伪随机序列,并用MATLAB分析其性能,得出组合序列的一般的规律,借此推导出了一种新的组合序列——异族Gold组合序列-Through simulation and performance analysis of several commonly use¬ d pseudo-random sequence in the M
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-26
    • 文件大小:71956
    • 提供者:杨远望
  1. pseudo-sequence-vhdl

    0下载:
  2. 常用的几种伪随机序列的仿真及性能分析,进而运用组合序列的思想,尝试不同的序列以不同组合方式生成的新的伪随机序列,并用FPGA分析其性能,得出组合序列的一般的规律,借此推导出了一种新的组合序列——异族Gold组合序列。-Through simulation and performance analysis of several commonly use¬ d pseudo-random sequence in the FPGA environment, use the ideas of c
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-14
    • 文件大小:3838
    • 提供者:杨远望
  1. Random-number-generator-verilog

    0下载:
  2. Verilog code for a pseudo random number generator using linear shift registers. Implemented on Basys2 with Xilinx. Project report also is included.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1177931
    • 提供者:sndn_shr
  1. Pseduo-Random-Network-Coding-using-Diagonal-Matri

    0下载:
  2. Pseudo Random Network Coding based on paper in the below: Pseudo Random Network Coding Design for IEEE 802.16m Enhanced Multicast and Broadcast Service. Where different techniques used to improve transmission times and recover transmission pa
  3. 所属分类:Windows Mobile

    • 发布日期:2017-04-14
    • 文件大小:3901
    • 提供者:Phuc
  1. random

    0下载:
  2. 在实际应用中往往使用伪随机数就足够了。这些数列是“似乎”随机的数,实际上它们是通过一个固定的、可以重复的计算方法产生的。计算机或计算器产生的随机数有很长的周期性。它们不真正地随机,因为它们实际上是可以计算出来的,但是它们具有类似于随机数的统计特征。这样的发生器叫做伪随机数发生器。 在真正关键性的应用中,比如在密码学中,人们一般使用真正的随机数。-In actual applications tend to use a pseudorandom number is sufficient. Th
  3. 所属分类:Other windows programs

    • 发布日期:2017-12-15
    • 文件大小:1024
    • 提供者:张羽翔
  1. random

    0下载:
  2. 用简单的线性反馈移位寄存器实现了伪随机数的生成…(The pseudo random number is generated by a simple linear feedback shift register)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-04
    • 文件大小:740352
    • 提供者:fv_4
« 1 2 3 45 6 7 8 9 10 ... 28 »
搜珍网 www.dssz.com